ale/test/handler/test_yosys_handler.vader

28 lines
594 B
Plaintext

Before:
runtime ale_linters/verilog/yosys.vim
After:
call ale#linter#Reset()
Execute(The yosys handler should parse lines correctly):
AssertEqual
\ [
\ {
\ 'lnum': 3,
\ 'type': 'E',
\ 'text': 'syntax error, unexpected TOK_ID',
\ 'filename': 'file.v'
\ },
\ {
\ 'lnum': 1,
\ 'type': 'E',
\ 'text': 'internal error',
\ },
\ ],
\ ale_linters#verilog#yosys#Handle(bufnr(''), [
\ '1. Executing Verilog-2005 frontend: file.v',
\ 'ERROR: internal error',
\ 'file.v:3: ERROR: syntax error, unexpected TOK_ID',
\ ])